Skip to content

Commit de83aa3

Browse files
HypothawitsThomas
and
Thomas
authored
Copying over work from local cop (#515)
- New files for VAMS Highlighting - Updates to main json - Update change log - Update README Co-authored-by: Thomas <thomas@pop-os.localdomain>
1 parent 25384f4 commit de83aa3

File tree

6 files changed

+570
-0
lines changed

6 files changed

+570
-0
lines changed

CHANGELOG.md

+5
Original file line numberDiff line numberDiff line change
@@ -3,6 +3,11 @@
33
All notable changes to this project will be documented in this file.
44

55
The format is based on [Keep a Changelog](http://keepachangelog.com/en/1.0.0/)\
6+
## [1.15.6] - 2025-01-22
7+
8+
### Unreleased
9+
10+
- Added Verilog-AMS syntax highlighting
611

712
## [1.15.5] - 2024-11-09
813

README.md

+1
Original file line numberDiff line numberDiff line change
@@ -18,6 +18,7 @@ Install it from [VS Code Marketplace](https://marketplace.visualstudio.com/items
1818
- SystemVerilog
1919
- Bluespec SystemVerilog
2020
- VHDL
21+
- Verilog-AMS
2122
- Vivado UCF constraints
2223
- Synopsys Design Constraints
2324
- Verilog Filelists (dot-F files)

configs/verilogams.configuration.json

+64
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,64 @@
1+
{
2+
"comments": {
3+
"lineComment": "//",
4+
"blockComment": ["/*", "*/"]
5+
},
6+
7+
"brackets": [
8+
["{", "}"],
9+
["[", "]"],
10+
["(", ")"],
11+
["fork", "join"],
12+
["case", "endcase"],
13+
["casex", "endcase"],
14+
["casez", "endcase"],
15+
["config", "endconfig"],
16+
["begin", "end"],
17+
["connectrules", "endconnectrules"],
18+
["discipline", "enddiscipline"],
19+
["function", "endfunction"],
20+
["generate", "endgenerate"],
21+
["module", "endmodule"],
22+
["connectmodule","endmodule"],
23+
["macromodule", "endmodule"],
24+
["nature", "endnature"],
25+
["paramset", "endparamset"],
26+
["primitive", "endprimitive"],
27+
["specify", "endspecify"],
28+
["table", "endtable"],
29+
["task", "endtask"]
30+
],
31+
32+
"autoClosingPairs": [
33+
{ "open": "{", "close": "}" },
34+
{ "open": "[", "close": "]" },
35+
{ "open": "(", "close": ")" },
36+
{ "open": "\"", "close": "\"", "notIn": ["string"] },
37+
{ "open": "/*", "close": " */", "notIn": ["string"] },
38+
{ "open": "begin", "close": "\nend", "notIn": ["string"] },
39+
{ "open": "fork", "close": "\njoin", "notIn": ["string"] }
40+
],
41+
42+
"surroundingPairs": [
43+
["{", "}"],
44+
["[", "]"],
45+
["(", ")"],
46+
["'", "'"],
47+
["\"", "\""],
48+
["`", "`"]
49+
],
50+
51+
"folding": {
52+
"offSide": true,
53+
"markers": {
54+
"start": "^\\s*[//]*region",
55+
"end": "^\\s*[//]*endregion"
56+
}
57+
},
58+
59+
"wordPattern": "(-?\\d*\\.\\d\\w*)|([^\\`\\~\\!\\@\\#\\%\\^\\&\\*\\(\\)\\-\\=\\+\\[\\{\\]\\}\\\\\\|\\;\\:\\'\\\"\\,\\.\\<\\>\\/\\?\\s]+)",
60+
"indentationRules": {
61+
"increaseIndentPattern": "(begin$)",
62+
"decreaseIndentPattern": "(end$)"
63+
}
64+
}

package.json

+28
Original file line numberDiff line numberDiff line change
@@ -38,6 +38,21 @@
3838
],
3939
"configuration": "./configs/verilog.configuration.json"
4040
},
41+
{
42+
"id": "verilogams",
43+
"aliases": [
44+
"Verilog-AMS",
45+
"verilog-ams",
46+
"vams",
47+
"VAMS",
48+
"verilogams"
49+
],
50+
"extensions": [
51+
".vams",
52+
".va"
53+
],
54+
"configuration": "./configs/verilogams.configuration.json"
55+
},
4156
{
4257
"id": "systemverilog",
4358
"aliases": [
@@ -139,6 +154,11 @@
139154
"scopeName": "source.verilog",
140155
"path": "./syntaxes/verilog.tmLanguage.json"
141156
},
157+
{
158+
"language": "verilogams",
159+
"scopeName": "source.verilogams",
160+
"path": "./syntaxes/verilogams.tmLanguage.json"
161+
},
142162
{
143163
"language": "systemverilog",
144164
"scopeName": "source.systemverilog",
@@ -196,6 +216,14 @@
196216
"language": "verilog",
197217
"path": "./snippets/verilog.json"
198218
},
219+
{
220+
"language": "verilogams",
221+
"path": "./snippets/verilogams.json"
222+
},
223+
{
224+
"language": "verilogams",
225+
"path": "./snippets/verilog.json"
226+
},
199227
{
200228
"language": "systemverilog",
201229
"path": "./snippets/verilog.json"

snippets/verilogams.json

+79
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,79 @@
1+
{
2+
"ddt": {
3+
"prefix": ["dd", "ddt"],
4+
"body": [
5+
"ddt ( ${1:exp}, ${2:[tol]} )"
6+
],
7+
"description": "Time Derivative Operator"
8+
},
9+
"ddx": {
10+
"prefix": ["dd", "ddx"],
11+
"body": [
12+
"ddx ( ${1:exp}, ${2:[unknown]} )"
13+
],
14+
"description": "Symbolic Derivative Operator"
15+
},
16+
"idt": {
17+
"prefix": ["id", "idt"],
18+
"body": [
19+
"idt ( ${1:exp[}, ${2:ic[}, ${3:assert[}, ${4:tol]]]} )"
20+
],
21+
"description": "Time Integral Operator"
22+
},
23+
"idtmod": {
24+
"prefix": ["idtm", "idtmod"],
25+
"body": [
26+
"idtmod ( ${1:exp[}, ${2:ic[}, ${3:modulus[}, ${4:offset[}, ${5:tol]]]]} )"
27+
],
28+
"description": "Circular Integral Operator"
29+
},
30+
"absdelay": {
31+
"prefix": ["abs", "absdelay"],
32+
"body": [
33+
"absdelay ( ${1:exp}, ${2:td[}, ${3:max_delay]} )"
34+
],
35+
"description": "Absolute Delay Operator"
36+
},
37+
"transition": {
38+
"prefix": ["trans", "transition"],
39+
"body": [
40+
"transition ( ${1:exp[}, ${2:td[}, ${3:rise_time[}, ${4:fall_time[}, ${3:time_tol]]]]})"
41+
],
42+
"description": "Transition Filter"
43+
},
44+
"slew": {
45+
"prefix": ["sl", "slew"],
46+
"body": [
47+
"slew ( ${1:exp[}, ${2:max_pos_slew_rate[}, ${3:max_neg_slew_rate]]})"
48+
],
49+
"description": "Slew Filter"
50+
},
51+
"last_crossing": {
52+
"prefix": ["sl", "slew"],
53+
"body": [
54+
"slew ( ${1:exp[}, ${2:direction]})"
55+
],
56+
"description": "Last Crossing Function"
57+
},
58+
"limexp": {
59+
"prefix": ["lim", "limexp"],
60+
"body": [
61+
"limexp ( ${1:exp})"
62+
],
63+
"description": "Limited Exponential"
64+
},
65+
"laplace": {
66+
"prefix": ["lap", "laplace"],
67+
"body": [
68+
"laplace_${1:zp/zd/np/nd} ( ${2:exp}, ${3:Zero}, ${4:Pole [}, ${5:tol]})"
69+
],
70+
"description": "Laplace Transform Filters"
71+
},
72+
"zfilter": {
73+
"prefix": ["z", "zi"],
74+
"body": [
75+
"zi_${1:zp/zd/np/nd} ( ${2:exp}, ${3:Zero}, ${4:Pole }, ${5:period[}, ${6:transition time[}, ${7:time first transition]]})"
76+
],
77+
"description": "Z Transform Filters"
78+
}
79+
}

0 commit comments

Comments
 (0)