Skip to content

Commit 2f57cc5

Browse files
committed
Programa de asm insertado
1 parent 229fd61 commit 2f57cc5

File tree

118 files changed

+3001
-1800
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

118 files changed

+3001
-1800
lines changed

DATAPATH/ADD.v.bak

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,6 @@
11
module ADD(
2-
input [31:0] pc,
3-
output reg [31:0] sal
2+
input [7:0] pc,
3+
output reg [7:0] sal
44
);
55

66

DATAPATH/DATAPATH.qsf

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -41,7 +41,7 @@ set_global_assignment -name DEVICE AUTO
4141
set_global_assignment -name TOP_LEVEL_ENTITY DATAPATH
4242
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.0.0
4343
set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:02:58 APRIL 29, 2020"
44-
set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition"
44+
set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Lite Edition"
4545
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
4646
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
4747
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation

DATAPATH/DATAPATH.qws

-619 Bytes
Binary file not shown.

DATAPATH/DATAPATH_TB.v

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -17,9 +17,9 @@ initial
1717
begin
1818
clk = 1'b1;
1919
$readmemb("TestF1_BReg.mem",DUV.p7.mem);
20-
$readmemb("TestF3_MemInst.mem",DUV.p3.MR);
20+
$readmemb("Subneteo.txt",DUV.p3.MR);
2121
$readmemb("datamemoryTest.mem",DUV.p16.mem2);
22-
#60;
22+
#10000;
2323
$stop;
2424
end
2525

DATAPATH/INST_MEM.v.bak

Lines changed: 4 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -1,14 +1,13 @@
11

22
module INST_MEM(
3-
input [31:0] dir,
4-
output reg [31:0] datos,d
3+
input [7:0] dir,
4+
output reg [31:0] datos
55
);
6-
reg [7:0] MR [0:2047];
6+
reg [7:0] MR [0:127];
77

88

99
always@*
1010
begin
11-
datos={MR[dir],MR[dir+1],MR[dir+2],MR[dir+3]};
12-
d=datos;
11+
datos<={MR[dir],MR[dir+1],MR[dir+2],MR[dir+3]};
1312
end
1413
endmodule

DATAPATH/PC.v.bak

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,8 +1,8 @@
11

22
module PC(
33
input clk,
4-
input [31:0] ent,
5-
output reg [31:0] pc
4+
input [7:0] ent,
5+
output reg [7:0] pc
66
);
77

88

@@ -16,7 +16,7 @@ always @(posedge clk)
1616
else
1717
begin
1818

19-
pc=32'd0;
19+
pc=8'd0;
2020
end
2121
end
2222

DATAPATH/db/.cmp.kpt

0 Bytes
Binary file not shown.

DATAPATH/db/DATAPATH.(0).cnf.cdb

3 Bytes
Binary file not shown.

DATAPATH/db/DATAPATH.(0).cnf.hdb

5 Bytes
Binary file not shown.

DATAPATH/db/DATAPATH.(1).cnf.cdb

1 Byte
Binary file not shown.

0 commit comments

Comments
 (0)